From f1b680936d23084dc28bcab64e2cc4103a420303 Mon Sep 17 00:00:00 2001 From: Rick Farina Date: Tue, 25 Feb 2020 13:40:30 -0500 Subject: [PATCH] net-wireless/urh: fix deps, probably Package-Manager: Portage-2.3.89, Repoman-2.3.20 Signed-off-by: Rick Farina --- net-wireless/urh/{urh-2.8.3.ebuild => urh-2.8.3-r1.ebuild} | 4 ++-- net-wireless/urh/urh-9999.ebuild | 4 ++-- 2 files changed, 4 insertions(+), 4 deletions(-) rename net-wireless/urh/{urh-2.8.3.ebuild => urh-2.8.3-r1.ebuild} (95%) diff --git a/net-wireless/urh/urh-2.8.3.ebuild b/net-wireless/urh/urh-2.8.3-r1.ebuild similarity index 95% rename from net-wireless/urh/urh-2.8.3.ebuild rename to net-wireless/urh/urh-2.8.3-r1.ebuild index 1c4f6ddf86f1..c5cc8e3ff712 100644 --- a/net-wireless/urh/urh-2.8.3.ebuild +++ b/net-wireless/urh/urh-2.8.3-r1.ebuild @@ -1,7 +1,7 @@ # Copyright 1999-2020 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 -EAPI=6 +EAPI=7 PYTHON_COMPAT=( python3_6 ) inherit distutils-r1 eutils @@ -26,7 +26,7 @@ DEPEND="${PYTHON_DEPS} net-wireless/gnuradio[zeromq] dev-python/numpy[${PYTHON_USEDEP}] dev-python/psutil[${PYTHON_USEDEP}] - dev-python/pyzmq[${PYTHON_USEDEP}] + dev-python/pyzmq[python_targets_python2_7] dev-python/cython[${PYTHON_USEDEP}] bladerf? ( net-wireless/bladerf:= ) hackrf? ( net-libs/libhackrf:= ) diff --git a/net-wireless/urh/urh-9999.ebuild b/net-wireless/urh/urh-9999.ebuild index 1c4f6ddf86f1..c5cc8e3ff712 100644 --- a/net-wireless/urh/urh-9999.ebuild +++ b/net-wireless/urh/urh-9999.ebuild @@ -1,7 +1,7 @@ # Copyright 1999-2020 Gentoo Authors # Distributed under the terms of the GNU General Public License v2 -EAPI=6 +EAPI=7 PYTHON_COMPAT=( python3_6 ) inherit distutils-r1 eutils @@ -26,7 +26,7 @@ DEPEND="${PYTHON_DEPS} net-wireless/gnuradio[zeromq] dev-python/numpy[${PYTHON_USEDEP}] dev-python/psutil[${PYTHON_USEDEP}] - dev-python/pyzmq[${PYTHON_USEDEP}] + dev-python/pyzmq[python_targets_python2_7] dev-python/cython[${PYTHON_USEDEP}] bladerf? ( net-wireless/bladerf:= ) hackrf? ( net-libs/libhackrf:= ) -- 2.26.2